博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
如何实现4分频?
阅读量:5749 次
发布时间:2019-06-18

本文共 424 字,大约阅读时间需要 1 分钟。

偶来扔个砖头先

举例来说:16M的晶振,让它的输入信号输入4个脉冲的时候翻转一次,这个翻转的输出就是四分频拉。。
用CPLD的VHDL语言来做的话:
library ieee;
use ieee.std_logic_1164.all;
entity fp is
    port(
             clk                :in std_logic;--这个是输入的时钟信号嘛
             clk_out         :out std_logic);--这个输出的是四分频的信号嘛
end;
architecture one of fp is
signal:cnt:integer range 0 to 4;
begin
process(clk)
        if rising_edge(clk) then
            cnt <= cnt+1;
                  if cnt=4 then
                     cnt <= 0;
                     cnt_out <= not cnt_out;
                  end if;
        end if;
end process;
end;
好像是这样的吧。。呵呵

转载地址:http://pchzx.baihongyu.com/

你可能感兴趣的文章
iPhone开发面试题--葵花宝典
查看>>
EdbMails Convert EDB to PST
查看>>
POJ 2184
查看>>
存储过程简单实例
查看>>
大话 程序猿 眼里的 接口
查看>>
struts2用了哪几种模式
查看>>
replace函数结合正则表达式实现转化成驼峰与转化成连接字符串的方法
查看>>
ubuntu 初学常用命令
查看>>
WCF客户端与服务端通信简单入门教程
查看>>
判断是否含有中文
查看>>
Byte[]、Image、Bitmap 之间的相互转换
查看>>
玩转 React【第02期】:恋上 React 模板 JSX
查看>>
MyEclipse Web开发教程:XML & XML架构(一)
查看>>
linux文件权限与属性的更改
查看>>
Juniper系列之密码恢复
查看>>
spring cloud(一):微服务架构开篇
查看>>
Centos7安装完毕后无法联网的解决方法
查看>>
iptables防火墙(for linux平台)部署文档二
查看>>
CentOS 5.5 安装MYSQL
查看>>
HTML元素属性测试总结(续篇)
查看>>